Search

Applied Materials’ innovative pattern-shaping technology reduces the cost, complexity and environmental impact of advanced chip manufacturing

Applied Materials, unveiled a breakthrough in patterning technology that allows chipmakers to create high-performance transistors and interconnect wiring with fewer EUV lithography steps, thereby lowering the cost, complexity and environmental impact of advanced chipmaking.

Customers increasingly use EUV double patterning to print chip features smaller than the resolution limits of EUV to optimize chip area and cost. Using EUV double patterning, chipmakers split a high-density pattern in half and produce two masks that adhere to the resolution limits of EUV. Both halves of the pattern are combined on intermediate patterning films and then etched into the wafer. While double patterning is effective at increasing feature density, it adds design and patterning complexity along with process steps that consume time, energy, materials and water – and increase the cost of wafer fabs and wafer production.

Introducing the Applied Materials Centura® Sculpta® Patterning System

To help chipmakers continue shrinking designs without the added cost, complexity, and energy and materials consumption of EUV double patterning, Applied Materials worked closely with customers to develop the Centura Sculpta patterning system. Chipmakers can now print a single EUV pattern and then use the Sculpta system to elongate the shapes in any chosen direction to reduce the space between features and increase pattern density. Because the final pattern is created from a single mask, design cost and complexity are reduced, and the yield risk from double-patterning alignment errors is eliminated.

EUV double patterning requires a number of added manufacturing process steps that generally include CVD patterning film deposition, CMP cleaning, photoresist deposition and removal, EUV lithography, eBeam metrology, patterning film etching and wafer cleaning. For each EUV double patterning sequence it replaces, the Sculpta system can provide chipmakers with:

  • Capital cost savings of approximately $250 million per 100K wafer starts per month of production capacity
  • Manufacturing cost savings of approximately $50 per wafer
  • Energy savings of more than 15 kwh per wafer
  • Direct greenhouse gas emissions reduction of more than 0.35 kg of CO2 equivalent per wafer
  • Water savings of approximately 15 liters per wafer

“The new Sculpta system is a great example of how advances in materials engineering can complement EUV lithography to help chipmakers optimize chip area and cost while also tackling the growing economic and environmental challenges of advanced chipmaking,” said Dr. Prabu Raja, Senior Vice President and General Manager of the Semiconductor Products at Applied Materials. “The Sculpta system’s unique pattern-shaping technology combines Applied’s deep expertise in ribbon-beam and materials removal technologies to create a breakthrough innovation for the patterning engineer’s toolkit.”

Customer and Industry Comments

“As Moore’s Law drives us to ever-greater compute performance and density, pattern shaping is proving to be an important new technology that can help reduce manufacturing cost and process complexity, and conserve energy and resources,” said Ryan Russell, corporate vice president for logic technology development at Intel. “Having collaborated closely with Applied Materials in the optimization of Sculpta around our process architecture, Intel will be deploying pattern-shaping capabilities to help us deliver reduced design and manufacturing costs, process cycle times and environmental impact.”

“Three critical issues must be considered when pushing the limits of patterning: tip-to-tip spacing, pattern bridge defects and line edge roughness,” said Jong-Chul Park, Master of Foundry Etch Technology Team at Samsung Electronics. “As an early development partner on the innovative pattern-shaping technology, I believe Applied’s Sculpta system is a fascinating breakthrough that addresses these patterning challenges and reduces manufacturing costs for chipmakers worldwide.”

“Applied Materials’ new Sculpta system is a revolution in patterning that brings an entirely new capability to chipmakers,” said Dan Hutcheson, Vice Chair, TechInsights. “As the industry keeps pushing the limits of chip scaling, we need breakthroughs like Applied’s pattern-shaping technology that can improve chip power, performance, area and cost while also reducing design cost, and energy and materials consumption. Sculpta is the most innovative new process step in wafer fabrication since the introduction of CMP.” 

The Sculpta system is receiving high interest from chipmakers and has been selected as a production tool of record for multiple steps in high-volume logic manufacturing.

Additional information about Applied’s Sculpta system will be discussed at the company’s “New Ways to Shrink: Advanced Patterning Products Launch​” 

up