Search

Lithography is essential for advanced packaging – An interview with Visitech

The More-than-Moore device production equipment markets are growing, driven by lithography and bonding, and will reach US$2.4 billion in 2026. The favorable geopolitical situation for equipment sales is reinforced by manufacturing technology development: MEMS, CMOS Image Sensors (CIS), power and RF, as well as advanced packaging. “Lithography tools are the backbone for technology change, with bonding tool improvements driving advanced packaging”, explains Taguhi Yeghoyan, Technology & Market Analyst at Yole Développement (Yole).

This year, the market research and strategy consulting Yole organized for the seventh consecutive year a successful symposium, SYNAPS, that attracted more than 200 participants on average. In collaboration with NCAP China, SYNAPS’ aim was again to cover the major challenges in the advanced packaging field, highlight the business opportunities, and create a unique place for networking, specially dedicated to the advanced packaging community.

Visitech was one of SYNAPS’ major sponsors, and Yole is pleased to thank this company for its support. Today, Favier Shoo, Team Lead Analyst, and Taguhi Yeghoyan welcomed Oyvind Tafjord, Managing Director of Visitech, for an exclusive interview. Discover Visitech’s vision of the lithography equipment industry with its latest innovations and related applications and take a step toward a better understanding of the latest issues.

Favier Shoo (FS): Please introduce yourself and Visitech to our readers?

Oyvind Tafjord (OT): My name is Oyvind Tafjord, Managing Director of Visitech – an innovative technology company within digital imaging. We supply subsystems to toolmakers and machine builders for direct imaging lithography for PCB, advanced packaging, and 3D printed electronics. The subsystems we offer include DLP-based photoheads and the software systems to control them and are, as such, the actual printing engine in a direct imaging lithography tool. Our strength is the combination of accurate digital projection of UV light with real-time data handling and warping capability. We have ten years of experience with direct imaging in PCB, with hundreds of machines installed worldwide. Now we are entering the Semicon market for advanced packaging with our upgraded fine-line subsystem.

Multiple drivers like mobility, AI, smart automotive (electrification and autonomous driving), 5G, IoT, and hyper-scale data centers have emerged, which will drive semiconductor packaging growth and innovation.

FS: Which end-market or applications do you think will adopt advanced packaging the most in the next five years?

OT: There will, indeed, be growth in several of those areas. All are, to some extent seeing the same or at least similar challenges when it comes to the actual lithographic process. However, our flexible system will cope with most of the lithographic requirements from all the mentioned end markets – with some application adaptations. Hence, we plan to be a part of the future growth with our dedicated subsystems for advanced packaging.

Stacking of photoheads: To meet customers’ panel size and throughput expectations, Visitech’s LUXBEAM® Lithography System (LLS) can be stacked. – Courtesy of Visitech, 2021

Advanced Packaging technology is not only a bridge to chip-package interaction (CPI) to manage a mismatch in pitch size but is also a viable solution for the heterogeneous integration of functionalities in a desired package dimension and design.

FS: Moving forward, what do you think are the technical requirements for Advanced Packaging?

OT: Digital manipulation of data for the lithography processes, for one, is increasingly becoming an essential requirement. Packaging currently suffers from issues, such as chip placement errors and warping from this process. The focus is on avoiding yield reduction, which is achieved by adapting the interconnecting artwork surrounding each die to compensate for the errors introduced – and as such, altering the artwork to be different from the original. Legacy optomechanical systems, such as steppers, have limitations when die shift and material warping is out of their control, which reduces yield. That is where digital manipulation in real-time combined with UV projection comes into play.

Some of the players in these markets provide excellent optomechanical systems, like steppers. Still, they may not be sufficiently strong in the field of real-time data manipulation in combination with a scrolling DLP light engine with a UV light source. I think lithography of 5 µm line/space, down to 2 µm line/space, will grow and continue into the sub-micro area. The two main challenges are physical limitations, like optical depth-of-focus, and the process adaption to enable the use of resist and dielectrics within the depth-of-focus specifications. We have already successfully tested both dry film and liquid resists in this line/space area.

Taguhi Yeghoyan (TY): Can you comment on how Direct Imaging Lithography is essential for Advanced Packaging platforms which are moving to thinner and denser packaging, such as double-side mold technology, partial and conformal shielding, and possibly new emerging packaging platforms?

OT: The main advantage is the digital real-time data handling and warping – essentially adapting the lithography patterns to match the position and warping that materials and previous process steps have created. It is closely linked to measurement matrixes containing data of the positions of all parts to be linked together. The clue is to make viable and robust systems to receive the measurement data and transfer it into new and transformed lithographic data that fits the wafer or panel at hand. Not least, to do this quickly and reliably.

Minimal line/space: The LLS2500 photohead can achieve a 2,5 ?m line/space. – Courtesy of Visitech, 2021

TY: Visitech’s strong focus on developing high-end subsystems for advanced packaging allows toolmakers to innovate better products more efficiently. Could you introduce us to Visitech’s activities in the Advanced Packaging market space?

OT: We certainly feel excited about the opportunities we have in this market space. Currently, we have three major product lines for advanced packaging, ranging from 2 ?m L/S, via 5 ?m L/S, to 7 ?m L/S. We are constantly working on new models with more power and higher throughput, where light source development is significant. We always install the full-scale system in a process line in a clean-room lab environment for evaluation and learn a lot from the feedback. Our current system is now validated for printing 5 ?m L/S and 2 um L/S on panel-level packaging on large 600 X 600 mm panels and wafers.

TY: There are several imaging solutions companies with general-purpose test and measurement solutions. What makes Visitech’s imaging solutions unique compared to others?

OT: There are, of course, other direct imaging tools out there. Our aim is to be at the forefront of what a DLP-based lithography system can do with respect to light sources, wavelength, and power that translates into throughput. And, perhaps most importantly – the ability to handle data in real-time, which in our case is embedded in the photoheads. We’re using FPGAs to calculate in a high number of parallel processes, compared to multiple CPUs on PCs, with windows issues and other concerns. Our systems enable faster real-time processes, thereby facilitating more of each process to be performed directly inside the DI machine. To our knowledge, we are the only ones doing the process in real-time while printing, which positions us for faster systems. In addition, I would like to mention that we design all our light sources to make them dedicated to optimizing performance for the applications. Proven in hundreds of machines worldwide, it is a plug-and-play system with minimal technical risk for our partners to implement.

FS: What is next for VISITECH? What should we be looking for in the next few months and years?

OT: Our design focus is on maximizing reliability and yield-enhancing performance. We will keep applying it in our work toward a fine-line subsystem, enabling a direct imaging tool to include multiple wavelengths by UV laser light source – increasing throughput while reducing line/space to the submicron level.

Interviewee

Oyvind Tafjord, CEO, Visitech

Mr. Tafjord holds an engineering degree in electronics & SW, with extended finance and innovation management education. His background is in R&D, project management, manufacturing, operations, sales and marketing, product and company strategy, and numerous member and board chair positions with several companies. As Managing Director and Chairman of the Board, Tafjord oversees the strategic direction of Visitech’s global departments from its Norway headquarters, where he applies his comprehensive technology competence within PCB and Semicon direct imaging and additive manufacturing exposure. Technology know-how and satisfied customers are the key drivers. Customers have trusted Visitech to build their technology solutions and machines for more than 20 years.

Interviewers

Taguhi Yeghoyan, Ph.D., is a Technology & Market Analyst, Semiconductor Manufacturing at Yole Développement (Yole), within the Semiconductor, Memory & Computing division.

Taguhi’s mission is to follow continuously the semiconductor industry and its evolution. With her expertise in this field, especially on the semiconductor value chain (processes, materials, equipment, and related applications), Taguhi develops and authors technology & market reports and is engaged in dedicated custom projects.

Prior to Yole, Taguhi worked in world-class European research centers and laboratories, including imec (Belgium), LMI (Lyon, France), and LTM at CEA Leti (Grenoble, France). During her career, Taguhi has authored or co-authored one patent and more than nine papers.

Taguhi graduated from Wroclaw University of Technology (Poland) and the University of Lyon (France). Taguhi also completed her Ph.D. in Material Science from the University of Lyon.

Favier Shoo is a Team Lead Analyst in the Packaging team within the Semiconductor, Memory and Computing Division at Yole Développement (Yole), part of the Yole Group of Companies. Based in Singapore, Favier manages an international team and develops the team’s technical expertise and market know-how. Favier also focuses on the production of technology & market reports and conducts strategic consulting and custom studies. As an acknowledged professional in the semiconductor packaging market, Favier regularly engages in international conferences with presentations, keynotes, and panel review sessions.

During 7 years at Applied Materials as a Customer Application Technologist in the advanced packaging field, Favier developed an in-depth understanding of the supply chain and core business values. Prior to that, Favier worked at REC Solar as a Manufacturing Engineer to maximize production.

Favier holds a bachelor’s degree in Materials Engineering (Hons) and a Minor in Entrepreneurship from the Nanyang Technological University (NTU) (Singapore). Favier was also the co-founder of a startup company where he formulated business goals, revenue models, and marketing plans.

Related event

Semiconductor industry: it is imperative to innovate. Advanced packaging is the path forward… Replay available

Related reports

All More than Moore device production equipment markets are growing, driven by lithography and bonding, reaching $2.4B in 2026.

Advanced Packaging is now of strategic importance for IDMs, foundries, and OSATs, leading to more than US$10 billion in investment.

TSMC’s Fan-Out success with Apple and high-performance computing are pushing Intel, Samsung, ASE, and all other competitors to find new innovative solutions.



up