Tradeshows & Conferences

IMAPS DEVICE PACKAGING CONFERENCE – DPC

Sign in for replay

prime platform for knowledge exchange, networking, and educational interactions among a diverse group of professionals in microelectronics.

The conference is a major forum for the exchange of knowledge and provides numerous technical, social and networking opportunities for meeting leading experts in these fields. The conference will attract a diverse group of people within industry and academia. It provides a chance for educational interactions across many different functional groups and experience levels.
People who will benefit from this conference include: scientists, process engineers, product engineers, manufacturing engineers, professors, students, business managers, and sales & marketing professionals.

Yole Group will be part to this 20th edition as speaker with:

Gabriela Pereira
Technology and Market Analyst, Semiconductor Packaging

Tuesday, March 19,
10:45am: High-end smartphone SoC packaging comparison-advanced PoP technology from standard, dan-out to flip-chip assembly

Wednesday, March 20,
6pm: 2.5D/3D Packaging Market Trends for Heterogeneous Integration

Rayane Mazari
Technology & Cost Analyst, Semiconductor Packaging

Wednesday, March 20,
6pm: 2.5D/3D Packaging Market Trends for Heterogeneous Integration

ABSTRACT:
Scaling the front-end node is one way of increasing device performance but node scaling no longer follows Moore’s Law due to yield issues, device limitations and escalating design costs. Heterogeneous integration and chiplet approach are potential paths forward to optimize cost while ensuring performance.  

Advanced packaging has become critical to realize heterogeneous integration, providing high density, increased bandwidth, and power efficiency. There are multiple ways to achieve these requirements and 2.5D and 3D technologies are becoming crucial, including HD/UHD FO with RDL, Si Interposers, Si bridges, 3D stacking with TSVs and with hybrid bonding.  

Advanced packaging market is expected to grow at an 8.7% CAGR, from $43.9B in 2022 to $72.4B by 2028, driven by megatrends like 5G, AI/HPC and autonomous driving. 2.5D/3D packaging is the platform growing at the fastest rate of 17.2% in the next five years. It reached $8.9B in 2022 and is expected to achieve $23.2B in 2028. The main players pushing for these technologies include TSMC, Intel and Samsung, other memory suppliers like Micron and SK hynix and OSATs like ASE, SPIL, and Amkor, who are collaborating with IDMs and foundries. 

How is the 2.5D/3D market expected to evolve? What is the most suitable technology? What are the driving markets, and will there be the killer application? Which players will lead the battle? An overview of the market and technology trends will be shared to shed light on these questions. 

Do you have an account?

Sign in to your account to access your services