Webcasts

ANALYST THURSDAY 2024 : EXTENDING MOORE’S LAW THROUGH HIGH-END PACKAGING AND ADVANCED IC SUBSTRATES THAT ENABLE A PATHWAY FOR THE AI GENERATION

Register now

By 2029, High-End Packaging is poised to hit $16.7B, fueling Generative AI expansion through chiplet and heterogeneous integration. 

The advanced packaging market is set up to grow at a healthy pace, strongly driven by the megatrends of HPC, generative AI, high-end laptops and workstations, and autonomous driving. Considering all packaging platforms, the 2.5D/3D type of interconnect is the one growing at the fastest rate. The high-end performance packaging market is propelled by the massive growth of data center AI chips, the need for more computing power, bandwidth, speed, and high-end memory, and lower power consumption. As Moore’s Law is decelerating and die cost is growing exponentially, heterogeneous integration and chiplet adoption are gaining interest to support functionality, faster time to market, and compensate for exponentially increasing front-end costs. 2.5D interposers and 3D stacking solutions are the key enabling packaging technologies for generative AI accelerators, GPUs, CPUs, MCUs, and other high-end ASICS. Wafer-to-wafer and die-to-wafer hybrid bonding approaches are hot topics regarding technology breakthroughs as they allow a 10μm to 1µm fine pitch and possibly less. This enables denser 3D IC stacking of logic or memory dies, an interconnection of partitioned SoC dies, and heterogeneously integrated packages.  

Advanced IC substrates are necessary to ensure the package connection to the system PCB, and they represent the silent workhorse in the generative AI hardware supply chain. The advanced IC substrate industry is focused on satisfying the increasing requirements of high-end applications, such as thermal management, form factor, power delivery, and signal routing. Industry giants, including TSMC, Intel, and Samsung, and top OSATs like ASE, Amkor, and JCET, are strongly investing in high-end advanced packaging capacity with a strong focus on AI-related technologies to answer the increasing industry demand. 

Don’t miss this opportunity to gain valuable insights and stay informed about the evolution of the advanced packaging market and technology trends, as well as the top players from the supply chain. 

The webinar will highlight: 

  • Global overview of the high-end performance packaging market versus the total advanced packaging market. 
  • High-end performance packaging market forecast and market drivers with a focus on generative AI applications. 
  • Overview of the high-end packaging technology trends, including hybrid bonding, chiplets, Si photonics & CPO, and FOPLP 
  • Summary of commercialized products with an emphasis on a few recent product teardowns: Nvidia H100 AI GPU and AMD 3D V-Cache 
  • Analysis of the advanced IC substrate market trends and drivers. Why are IC substrates critical for generative AI? 
  • Focus on the adoption of glass core substrates. When will the technology take off? What challenges must still be overcome? 
  • Overview of the high-end packaging supply chain, including package and substrate suppliers. 


Leading semiconductor giants embrace chiplets and hybrid bonding, revolutionizing advanced packaging for enhanced performance and cost efficiency.
Read more…

Do you have an account?

Sign in to your account to access your services