Search

Yole Group Viewpoint – Pushing silicon boundaries: advanced semiconductor packaging for high-end performance

Leading semiconductor giants embrace chiplets and hybrid bonding, revolutionizing advanced packaging for enhanced performance and cost efficiency

Advanced Packaging is a cornerstone in fostering innovation within the semiconductor sector, elevating the value proposition of semiconductor products by providing enhanced functionality, improved performance, and cost efficiency. Leading semiconductor giants such as TSMC, Intel, and Samsung are increasingly embracing chiplet and heterogeneous integration strategies, harnessing advanced packaging technologies to complement their front-end scaling endeavors.

ligne-de-force-ap_illustrations-marketing-ligne-de-force_feb2024-1

A pivotal trend driving the evolution of Advanced Packaging is the widespread adoption of a chiplet approach to achieve heterogeneous integration. This involves the segmentation of a System-on-Chip (SoC) into multiple dies housing distinct IP blocks, with selective scaling reserved for those components requiring advanced technology nodes. Subsequently, these disparate chiplets are seamlessly integrated using cutting-edge 2.5D or 3D packaging techniques, thus optimizing overall yield and cost-effectiveness.

Another noteworthy trend and a promising catalyst in interconnect technology is Hybrid Bonding (HB), which facilitates face-to-face stacking of metal-metal and oxide-oxide interfaces with remarkable precision, boasting a bump pitch of less than 10 μm. While wafer-to-wafer hybrid bonding has already gained prominence in applications like CIS and 3D NAND stacking, ongoing research endeavors are focused on leveraging wafer-to-wafer or die-to-wafer hybrid bonding to realize 3D System-on-Chip (SoC) architectures, particularly for memory-on-logic stacked 3D Integrated Circuits (IC) for PC, High-Performance Computing (HPC), and data center applications.

ligne-de-force-ap_illustrations-marketing-ligne-de-force_feb2024-2

Foundries, IDMs, and OSATs compete in the same high-end packaging market space, blurring FE to BE supply chain boundaries. This has made it harder for smaller players to compete. The barrier to entry in the high-end packaging supply chain is increasingly high, with major players disrupting the advanced packaging domain with their FE capabilities. The adoption of hybrid bonding makes things more difficult for OSATs, as only players with fab capabilities and ample resources can afford the significant yield losses and large investments.

TSMC currently occupies pole position in the high-end Advanced Packaging segment, particularly within the memory market, having pioneered CoWoS production back in 2012. Subsequently, TSMC has expanded its high-end packaging portfolio, introducing novel offerings such as 3D SoIC, InFO_SoW, and a myriad of high-density fan-out variants stemming from the InFO lineage alongside novel CoWoS iterations.

In 2022, Intel emerged as the foremost investor in Advanced Packaging as part of its IDM 2.0 strategy aimed at consolidating packaging solutions such as EMIB, Foveros, and Co-EMIB while simultaneously enhancing their efficacy. Despite challenges in its core business in 2023, primarily attributable to macroeconomic factors, TSMC has outpaced Intel in investments in Advanced Packaging.

Samsung, a prominent memory provider, offers Advanced Packaging solutions for its HBM and 3DS product lines, in addition to fan-out panel-level packaging and silicon interposers. These offerings enable Samsung to commercialize an array of high-performance products, such as I-Cube, H-Cube, and X-Cube.

ligne-de-force-ap_illustrations-marketing-ligne-de-force_feb2024-3

It is important to note that Advanced Packaging entails distinct equipment, materials, and processes compared to conventional packaging, necessitating the development and integration of novel substrate materials, lithography processes, laser drilling, CMP, and KGD tests. Consequently, significant investments are being channeled by Advanced Packaging players to innovate and assimilate these emergent materials and processes into their operations.

Advanced Packaging technologies are linchpins for semiconductor innovation, catalyzing enhancements in overall system performance while concurrently driving down costs, thereby underscoring their pivotal role in shaping the future trajectory of the semiconductor landscape. At Yole Group, we are at the forefront of analyzing this evolution, allowing us to anticipate the changes and their consequences on the overall semiconductor market.

RELATED YOLE GROUP PRODUCTS

RELATED YOLE GROUP EVENTS

Upcoming events

up