Search

3D-NAND: the ever-increasing manufacturing complexity

Product Related

“Four companies – ASML, Applied Materials, Tokyo Electron and Lam Research – hold more than 70% of the overall equipment market.” asserts Simone Bertolazzi, Market & Technology Analyst, Memory at Yole Intelligence, part of Yole Group.

He adds: “ASML is the undiscussed leader in the field of photolithography, whereas Applied Material, TEL and Lam Research compete for supremacy in different areas, such as deposition (CVD, ALD, PVD, etc.), etching, and more. These three players will benefit most from expansion of the 3D-NAND business, but it will be also very sensitive to tail- and headwinds in the memory industry”.

Titre du visuel

june 2021

You have to be registered to download our medias.

Usage restriction: Images may not be used against the interests of Yole Développement (Yole Group) and its entities, Yole Intelligence, or System Plus Consulting (Yole SystemPlus). For more information, please contact the Public Relations team.

INFORMATIONS

Copyright : © Yole Développement, 2023

Licence : Images can be used online and for printing, without modification

Thematic(s) :

In this context, Yole and Yole SystemPlus, both part of Yole Group of Companies, investigate disruptive memory technologies and related equipment and materials markets in depth. Their aim is to point out the latest innovations and underline the business opportunities. Both partners announce three NAND dedicated analyses:

  • NAND Quarterly Market Monitor, Q1 2021 update that is following the NAND industry with key market figures and trends, quarter by quarter.
  • Equipment and Materials for 3D-NAND Manufacturing 2020 report proposes an extensive knowledge of the NAND business and related manufacturing equipment/processes.
  • In addition, Yole SystemPlus delivers a special focus on the rising memory company YMTC, that is leading the Chinese market today, with a dedicated report, YMTC’s 3D-NAND Flash Memory.

With those three analyses, Yole SystemPlus present a unique understanding of the NAND and 3D-NAND industry.

As analyzed by Yole’s team in the Equipment and Materials for 3D NAND Manufacturing 2020 report, despite some seasonality and cyclicality, which are typical of the stand-alone memory business, the NAND market is expected to grow from US$44 billion in 2019 to US$81 billion in 2025 with a CAGR19-25 for this period of 11%. This will be driven by robust long-term bit demand fueled by rising data-centric applications in the fields of AI and the IoT , intelligent factories, virtual/augmented reality, and autonomous vehicles.
In addition, Simone Bertolazzi from Yole had the opportunity to discuss with Mark Puttock, advanced technology engagements, in the CTO office at Entegris, about the company’s material solutions for 3D NAND, strategy, and market status and applications. Discover the details of their discussion here.

As said in the NAND Quarterly Market Monitor, Q1 2021, the long-term outlook for the NAND market is positive, despite the continued presence of seasonality and cyclicality. Imbalances in supply and demand can cause market volatility in the short term, but emerging megatrends driving massive data generation and the ongoing replacement of HDD s with NAND-based SSDs are expected to push NAND to new heights.
According to Walt Coon, VP of NAND and Memory Research at Yole“Shortages of controllers and other NAND sub-components are causing supply chain uncertainty, putting upwards pressure on ASP s. The recent shutdown of Samsung’s manufacturing facility in Austin, Texas, USA, which manufactures NAND controllers for its SSDs, further amplifies this situation and will likely accelerate the NAND pricing recovery, particularly in the PC SSD and mobile markets, where impacts from the controller shortages are most pronounced”.
NAND’s competitive landscape remains incredibly dynamic. Samsung is utilizing its massive Pyeongtaek site and expanding its facilities in Xi’an, China; KIOXIA Corporation and its partner Western Digital continue to expand their footprint in Japan; SK Hynix is in the process of acquiring Intel’s NAND/SSD business; and Micron continues to be a 3D technology leader even as it transitions from floating – to replacement-gate technology. Meanwhile, a new entrant looms on the horizon: China’s Yangtze Memory Technologies Co. (YMTC), which threatens to disrupt the status-quo.

In its YMTC’s 3D-NAND Flash Memory report, Yole SystemPlus’s analysts deeply analyzes the technical choice made by YMTC. The Chinese memory company developed its new 3D-NAND XtackingTM architecture with two wafers for its 64-layer 3D-NAND memory, instead of a single wafer used in conventional 3D NAND memories.
Belinda Dube, Technology & Cost Analyst at Yole SystemPlus comments: “CMOS periphery and NAND array wafer are manufactured separately. Wafers are connected by copper to copper hybrid bonding. This bonding technique needs a high level of accuracy and alignment precision to perfectly join the metal pads from the two wafers. YMTC’s Xtacking process allows the company to significantly increase its die density compared to other players”.
YMTC’s memory enters the NAND flash market as a solution to cater for higher I/O speed as they use advanced CMOS transistors that are manufactured on a different wafer from the NAND array. Consequently, this memory provides the combination of high speed and large density characteristics.

Would you want to receive our Press Releases ?

Sign in Sign up free

Do you have an account?

Sign in to your account to access your services

up