Search

Fabs never die

This article examines the small-dimension (?6”) wafer market and looks at the reasons why the associated fabs continue to thrive and secure a niche position in a worldwide marketplace. It looks at new and emerging players and applications around the world and includes a close-up look at the market in China. 

New and depreciated wafer fabs continue to operate and flourish, supported by a strong, worldwide ecosystem. The small-dimension wafer market in particular benefits from emerging, disruptive technologies that exploit the particular characteristics of specific materials, say Gäel Giusti, and Vishnu Kumaresan, Yole Développement.
Recent headlines for stories about wafer fabs may have been dominated by the significant investments in building new ones, such as Infineon’s $1.6BN in a 12” fab in Austria and the planned $12B of TSMC in Arizona (US), but this does not mean that the industry is turning its back on the 6” and below wafer fab market. Far from it; there are currently about 800 fabs with 1”-6” capability, located in Asia (464), the USA (187) and Europe (136). While it is true, some manufacturers are scaling-up to higher wafer diameters, the small-dimension wafer market is far from ailing, let alone dead.
Integrating analog functions into CMOS-based technologies has reached the physical limitations of Moore’s Law. This has led to More Than Moore devices, such as MEMS and sensors, CMOS image sensors, power electronics and RF devices, which integrate digital and analog technologies.
The increase in More than Moore applications, where analog functions are integrated into CMOS-based technologies and non-Si materials can be used, is partly responsible for the predicted +1.3% CAGR small-dimension wafers between 2019 and 2025 in revenue, reported in 6’’ and Below: Small-Dimension Wafer Market Trends 2020, by  Yole Développement. The dynamic More than Moore sector makes up the majority of the market for small-dimension wafers, estimated to be $5.6BN in 2025.
The report forecasts a robust 6” wafer market, experiencing a 4.7% CAGR in revenue between 2019-2025. The 1”-6” market as a whole is forecast to see a 1.3% CAGR in this period, with estimated revenue of $5.6BN in 2025. Within this, the 2” market is forecast to grow the fastest, in terms of revenue, mostly driven by InP sales.

A rich and varied ecosystem around the globe is in place to support the fabs, from raw materials suppliers (e.g. Silicon Wafer Enterprises in California, USA and Atecom Technology, in Taiwan) and wafer producers, like Atecom, MonoCrystal in Russia, Pam-Xiamen in China and Siltronic AG in Germany. Producers supply directly to fabs, for example, Intel, Global Foundries and TSMC, but also to wafer distributors who supply wafers to fabs and, in smaller volumes, to R&D facilities, like imec and Fraunhofer, universities, start-ups and SMEs.
Wafer distributors are also located around the world, for example Elma, in Asia Pacific. In Europe there is Wafer Export and there is Nova Electronic Materials in the USA.
Another source of wafers for fabs is wafer reclaimers. These companies reclaim wafers that have been through all or part of the process in the fab but have been discarded for some reason; it may be due to a design change or an error in lithography, for example. The top of the wafer is “erased” and the wafer, with the top layer removed, can be returned to the fab and treated as if it is a conventional substrate for test and qualification.
Today, wafer reclaimers tend to focus on 8” and 12” wafers because it does not make financial sense to reclaim 1”-6” Si wafers. There is an interesting trend, however, which is a growing non-Si substrate reclaimer market, particularly SiC and GaAs. Both of these substrates are still expensive, compared to Si, which makes it economically viable, even at 6” and below, to reclaim these wafers. There is also growth in reclaiming 4”-6” GaAs, GaN and other non-Si wafers (apart from sapphire), all of which are generally much more expensive than Si wafers. 

The supply chain

The fabs supply wafers to OEMs, such as Apple, Samsung, Dell and Huawei, but these OEMs may also use OSAT companies. ASE Technology in Taiwan and Amkor Technology in the USA, for example, both support fabs with integration and wafer finishing operations.
Although Si is the dominant material for 6” wafers, particularly for MEMS consumer applications, it is non-Si wafer markets that can expect moderate to strong growth over the period 2019-2025. SiC for example, is expected a CAGR of 18.6% in the period and estimated revenues of $712M in 2025. As RF and power applications transition to 8” and 12” wafers, it is only Si wafers that are expected to see a moderate decline of -3.3% over the same period.

Industry consolidation

There has been a lot of consolidation recently, particularly in the SiC market, and to a lesser degree in GaN. In recent years, STMicroelectronics acquired Norstel, to advance its SiC business, and Soitec acquired EpiGaN. In February 2020, one of the world’s largest Si wafer manufacturers, SK Siltron, bought DuPont’s SiC wafer business, showing that even large Si wafer producers are interested in More than Moore materials and want to secure supply chains. There have also been several high-profile agreements since 2018, for example by Cree Wolfspeed to supply SiC wafers to Infineon Technologies for its SiC power products, and to STMicroelectronics and ONSemiconductor. Rohm’s SiCrystal also supplies SiC wafers to STMicroelectronic and GT Advanced Technologies signed an agreement to supply ON Semiconductor with SiC wafers in March 2020. Since June 2020, II-VI has licensed SiC technology from GE, specializing in SiC devices for automotive and aircraft markets.
In addition to new builds, there is a vibrant market for refurbished equipment and maintenance, strengthening the argument that “fabs never die”. Depreciated fabs also represent opportunities to produce low cost, high volume devices. While higher-end devices can be fabricated in new 8” fabs, there is still significant demand for 6” Si wafers in standard power IGBT and MOSFET devices.
In fully depreciated sub-6” wafer fabs, processing equipment for a specific material, or which can be adapted to different materials and diameters – including 4” and 6” wafers – can be utilised to offer a cost-competitive device fabrication service.

“Good enough”

There are several reasons for the healthy small-dimension wafer market, not least that the yield vs ROI is “good enough”. In other words, the device performance/fabrication cost-per-die ratio does not justify a large CAPEX or the risks associated with process changes.
This value proposition, combined with a thriving ecosystem, serves emerging applications such as electrification of vehicles, RF, sensors, actuators and MEMS in automotive and consumer products. The cost of fabricating 1”-6” wafers is low. This makes them attractive for small OEMs and research institutes, for whom the cost of scaling up tools for larger wafers may prove prohibitive.  It can also make economic sense to keep small die sizes on small wafers if volumes are not expected to grow significantly. The investment in technology required to scale-up to grow larger crystals may be too costly for some wafer producers. Another advantage of using small dimension wafers is that process yields can go down, at least initially, as the wafer diameter increases.

Material choices

The choice of materials for 1”-6” wafers reflects the evolving markets and end products. Each material, sapphire, GaN Bulk, GaAs, SiC, InP or LT/LN and Si, has physical properties which lend themselves to a particular application.
SiC is an emerging material, attracting large investments. Almost all leading power electronics and compound semiconductor companies are deeply involved in the development of SiC-based solutions. This is driving SiC wafer production to 6” and even 8” diameters. This scaling up is largely driven by the need to reduce the SiC wafer cost per square inch, in a bid to bring it down to closer to that traditional Si wafers.
SiC is prized for its ability to handle large voltages and operate at high temperatures, making it a popular choice for renewable energies, full electrical vehicles and the electrification of combustion and hybrid vehicles. As a result of its power performance, the 6” and below SiC wafer market is expected to grow from $0.2BN in 2019 to $0.7BN in 2025, representing a CAGR of 18.6%.
The InP market is also dynamic and has been generating a lot of interest from significant companies, such as AXT, which acquired Crystacomm to continue developing its 6” InP wafer capability.
InP scales with difficulty beyond 4’’for technological reasons. While volume production remains strong for 2” InP wafers, used in datacom applications, producers are scaling up to 3” and 4’’ production.
InP is also expected to see significant growth, increasing from $0.1BN in 2019 to $0.2BN in 2025, a CAGR of 14.4%. This is largely driven by the datacom market. The physical properties of InP make it attractive for edge emitting laser diodes, used for example in data centres that process large amount of data. The 3D sensing is less precise than GaAs but the range is further. InP is used for example in ToF sensors for depth mapping, rather than for facial recognition.
The GaAs wafer market is also experiencing a transition from to 4” to 6” wafers although a significant volume remains on 4” wafers. This trend is principally driven by short range 3D sensing applications, found in LiDAR systems for driver assistance systems in vehicles, and in smartphone facial recognition systems, for consumer devices. GaAs VCSEL technology dominates the consumer 3D sensing market. In comparison to InP, it is used for more precise 3D sensing applications. In addition to use in a vehicle’s front and reader cameras for safety systems, it can also be used for AR and VR sensing, driving up volume rapidly.
GaAs may also be used in microLEDs, particularly for red pixels. The total market revenue was $0.3BN in 2019 and it is expected to realise a CAGR of 8% and achieve $0.4BN in 2025. 
GaN Bulk wafers are mostly related to optoelectronics applications but commercial applications based on this substrate are less advanced than SiC for example. There are not large volumes of GaN Bulk and production is for specific device which require very high performance, or for very niche applications.Sapphire is mostly used in LEDs for street and residential lighting and the LEDs used in consumer devices. The market has shifted from Russia, to China, leaving Monocrystal as the only Russian producer and global market leader. Prices have fallen heavily and there is only a handful of players serving the saturated LED market. The volumes of sapphire substrates are large – over 40M 4”-equivalent wafers per year.
MicroLEDs may drive up sapphire volume sales, although this is not likely to happen before 2024-2025. When, and if this happens, it will increase demand, particularly for 6” wafers.

Shifting fortunes

LT/LN wafers are produced in large volumes, predominantly for the manufacture of RF filters in smartphones. It is a large, but saturated market with little room for growth. The bulk of LT/LN wafers are produced by three Japanese companies, Sumitomo, Yamaju Ceramik and Shin-Etsu. This market is firmly anchored in the 5”-6” diameter sector.
Its market dominance is being challenged, however by Piezoelectric on Insulators (PoI) technology, patented by French supplier, Soitec. It combines a thin layer of LT/LN crystal with a 5” or 6” Si wafer as an alternative to manufacture RF filters.
Silicon looks likely to be the only material that will lose market share in the small-dimension wafer market. It is expected to suffer a moderate decline of 3.3% between 2019-2025, falling from $2.2BN to $1.8BN in the period. This is partly due to the rise of More than Moore devices and some high volume power, MEMS and automotive devices.
There is still a place for sub-6” Si wafers, with a significant amount of power switching devices, such as IGBTs and MOSFETs and consumer MEMS devices, fabricated on 6” wafers.

Close-up on China

China produces large volumes of crystal and wafer production, primarily to serve its vast domestic market. It is probably best known for GaAs and sapphire production.
Chinese companies could fulfil the wafer entire supply chain for certain applications, beginning with, for example, VITAL, a crystal producer, and companies which add value with EPI structures, for example IntelliEPI (GaAs) and VPEC. At the other end of the supply chain is San’an Optoelectronics, which is an internationally well-known name in GaAs -based devices. It is also a global leader for VCESLs.
In GaN Bulk in particular, progress has been swift. A relative newcomer, ETA Research, offers advanced solutions, such as a 4” GaN freestanding substrate, on a commercial level.   
SiC and InP are in volume production today, again serving the domestic market. In particular, SiC is used by the country’s many automotive manufacturers for its electric and hybrid vehicles manufacture. As the market grows, SiC producers, such as SICC and TANKE Blue could become significant players.
Today, the crystal quality and wafer size may be less advanced than European and US offerings, but it is expected that within a few years, Chinese companies will sell these wafers internationally. 
InP requires expertise to produce and scale up but significant investment has seen China produce three out of the five major players in this market to date, including VITAL, one of the most advanced companies for InP in China.  
Many companies grow silicon, with the three largest being LDK, Huantai Group and Luoyan Single Crystal Silicon Group, all serving the domestic market.

Conclusion

This year’s COVID-19 pandemic has changed the working lives and practices of everyone around the globe. It has had a significant impact on wafer production, therefore we revised our earlier forecasts to have a decrease of around 10% for specific applications. Production is expected to recover – it is already catching up slowly – but the recovery will be gradual and in some cases it might take until 2025 to catch up 2020 forecasts.
Despite the temporary setback of a production slowdown, there are a multitude of emerging technologies which require sub-6” wafer-based devices, which are likely to continue to drive the market. More than Moore applications represent an overwhelming part of the small wafer market and it is still a very dynamic sector.
In 2019, the small-size wafer market represented 63% of the total wafer market and it is predicted to account for 53% of the total market in 2025. The healthy number of fabs with 1”-6” capability around the world and the robust ecosystem to secure the entire supply chain is one reason for the healthy state of the market.
The industry shows no sign of relinquishing small wafer production as it continues to be cost-effective. It also supports innovation, catering as it does for small volume, prototype and research, as well as volume production. Uses for small-dimension wafers are in dynamic markets. Electrification in vehicles relies on SiC devices, driver assistance in today’s cars and eventually autonomous vehicles will rely on LiDAR systems based around GaAs sensors and actuators to protect driver, passengers and other road users. GaAs-based sensors are also in demand for consumer and commercial AR/VR applications and the need for speed in datacom operations to meet the increased demands of ultra-fast data processing are examples of further reasons to secure the longevity of sub-6” wafers. 

This article was written in Chinese for Silicon Semiconductor China.

About the authors

Gaël Giusti, PhD., is a Technology & Market Analyst specializing in Semiconductor Manufacturing and Equipment & Materials at Yole Développement (Yole). As part of the Semiconductor & Software division at Yole, Gaël’s expertise is focused on thin film growth and related applications, equipment, materials and manufacturing processes. He is involved daily in the production of technology & market reports and custom consulting projects. Prior to Yole, Gaël served as a R&D engineer at Sil’Tronix Silicon Technologies for 5 years where  he was in charge of upscaling a CVD process to develop epitaxial AlN thin film on sapphire for RF applications. He also worked on transparent conducting thin films for optoelectronics applications as a post-doctoral researcher at LMGP (Grenoble, France). Gaël holds a master’s degree from ENSICAEN (Caen, France) as well as a PhD in Materials Science from the University of Birmingham (UK).

Vishnu Kumaresan, PhD., is a Technology & Market analyst in the Semiconductor Manufacturing Team of Yole Développement, France. He focuses on the semiconductor manufacturing domain, covering both equipment and material segments.

His scope includes mainstream microelectronic applications as well as More-than-Moore applications. Having lived and worked in 4 countries, he has more than 11 years of experience in the electronics industry, covering semiconductor, display, and software technologies. Prior to joining Yole, he worked as an epitaxy engineer in an advanced startup in the micro LED display industry and has previously gained corporate experience at IMEC, CNRS, Saint Gobain and Infosys. Vishnu obtained his PhD in Material Physics & Chemistry from Pierre and Marie Curie University, France, and master’s in Microelectronics from National University of Singapore and Technical University of Munich, Germany.”

Related report

6’’ and Below: Small-Dimension Wafer Market Trends 2020
Wafer fabs never die: small-dimension wafer is still a growing market.



up