Market and Technology Trends
Status of the Advanced Packaging 2023
By Yole Intelligence —
Geopolitical tensions are making AP even more crucial. Supply chain diversification and constraints are shaping a market expected to reach 78B$ by 2028 with 10.6% CAGR22-28
YINTR23340
Key Features
- The Advanced Packaging industry attained a market value of $44.3 billion in the year 2022. This market is expected to surpass $78 billion by 2028, showcasing a CAGR of 10% during the period from 2022 to 2028.
- The largest market in 2022 was the 'Mobile & Consumer' sector, which accounted for more than 70% of the total revenue. However, it is expected that this share will decrease to 61% by 2028 as the 'Automotive' and 'Telecom & Infrastructure' sectors gain larger market shares. These two sectors are projected to be the fastest-growing markets by 2028, with respective CAGRs of 17% and 10%.
- In terms of packaging technologies, the primary contributors to the market are flip-chip, 2.5D/3D, and SiP, which are expected to hold a combined market share of over 90% by 2028. Additionally, the top three platforms experiencing the highest growth rates are ED, 2.5D/3D, and flip-chip.
What’s new?
- New technology platforms added
- New assumptions
- Forecast updated
Product objectives
The “Status of the Advanced Packaging Industry” is a yearly overview report. The objectives of the report are:
- Advanced Packaging market overview
- Drivers and dynamics
- Future applications
- Disruptions and opportunities
- Supply chain analysis
- Overview of production by player (IDM, OSAT, foundry)
- Shifting business models
- Financial analysis of TOP 30 OSATs
- Technology trends and forecasts
- Revenue, wafer, and unit forecasts by platform
- Future development by platform
- Impact of front-end scaling
- Scaling and functional roadmaps
Accretech, Adeia, ADI, Alibaba group, Amazon, AMD, Amkor, Applied Materials, ARM, ASE, ASMPT, Atmel, Atos, Baidu, Besi, Biren Technology, Blue Ocean Smart System, Brewer Science, Broadcom, BroadPak, Cambricon, Canon, Casmeit, CEA-Leti, Cerebras, Corning, Cray, Cyber Optics, Cypress, Disco, Dupont, Ebara, Eliyan, Empyrean, Entegris, EVG, Facebook, Foxconn, Fraunhofer IZM, Freescale, Fujitsu, Global Foundries, Gloway, Graphcore, GUC Glink, Hanni, HD Microsystems, Hi-Silicon, HLMC, Hua Tian, Huawei, Ibiden, IBM, IME, IMEC, Integra, Intel, Infineon, Invensas, ITRI, JCET, Juniper Networks, Kioxia, KLA, Kyocera, Lam Research, Lapis Technology, LB Semicon, Marvell, Mediatek, Meta, Micron, Microsoft, Micross Components, Mitsubishi, NEC, Nepes, Nhanced Semiconductors, Nokia, Nvidia, Ommi Vision, onsemi, ONTOS TT, Oracle, Panasonic, Plasma Therm, PRC Georgia Tech, Protean Tecs, PTI, PVA Tepla, Qorvo, Qualcomm, Quavo, Raytheon Technologies, Renesas, RISC-V, Rohm, Samsung, Sandia National Laboratories, Sanyo, Semco, Semsysco, Set, Sharp, Shinetsu, Shinko, Showa Denko, Siemens, Silicon Box, SK Hynix, Skywater, SMIC, Sony, SPIL, SPTS, ST Microelectronic, Sunlune, Surfx Technologies, TI, Suss Microtec, Synopsys, TEL, Tencent, Tesla, Tezzaron Semiconductor, TFME, Tokyo Electron, Toshiba, Tower Semiconductor, Nanya, TSMC, UMC, Unimicron, Unity SC, Ventana, Western Digital, Xilinx, Yibu Semi, YMTC and more.
Despite Industry Slowdown, Advanced Packaging Market Continues to Thrive in 2023
The Advanced Packaging (AP) market, valued at $44.3 billion in 2022, is expected to grow at a compound annual growth rate (CAGR) of 10.6% from 2022 to 2028 to $78.6 billion. In contrast, the traditional packaging market is projected to have a slower 3.2% CAGR from 2022 to 2028 to reach $57.5 billion. Overall, the packaging market is forecasted to grow at a CAGR of 6.9% to $136 billion.
The AP market accounted for approximately 48% of the total Integrated Circuit (IC) packaging market in 2022, and its share is steadily increasing due to various megatrends. Within the AP market, the Flip-Chip platform, which includes FCBGA and FCCSP, held a 51% market share in 2022. The segments expected to have the highest revenue CAGR from 2022 to 2028 are ED, 2.5D/3D, and flip-chip, with growth rates of 30%, 19%, and 8.5%, respectively.
Mobile & Consumer represented 70% of the total AP market in 2022 and is projected to have a 7% CAGR from 2022 to 2028, constituting 61% of the AP revenue by 2028. The Telecom & Infrastructure segment is the fastest-growing, with an estimated revenue growth rate of around 17%, and is expected to account for 27% of the AP market by 2028. Automotive & Transportation will make up 9% of the market, while other segments like medical, industrial, and aerospace/defense will account for 3%.
Although traditional packaging currently dominates wafer production, with nearly 73% of total production in 2022, the AP market is gradually increasing its share. The AP wafer’s market share is anticipated to grow from approximately 27% in 2022 to 32% in 2028. In terms of units, traditional packaging holds over 94% of the market share, but AP shipments are expected to grow at a CAGR of about 6% from 2022 to 2028, reaching 101 billion units in 2028.
Innovating Beyond Moore's Law: Chiplets and Hybrid Bonding Open New Frontiers
Advanced Packaging has become crucial in semiconductor innovation, enhancing functionality, performance, and cost-effectiveness. Major companies like TSMC, Intel, and Samsung are adopting chiplet and heterogeneous integration strategies, leveraging AP technology alongside front-end scaling efforts.
The chiplet approach partitions SoC chips into multiple dies, scaling only those with advanced technology nodes and integrating them using 2.5D or 3D packaging. This improves yield and reduces cost. Hybrid Bonding (HB) is another critical trend, enabling metal-metal and oxide-oxide face-to-face stacking with <10 µm bump pitch. It is employed in wafer-to-wafer hybrid bonding for applications such as CIS and 3D NAND stacking, with ongoing developments for 3D SoC in memory-on-logic stacked 3D ICs for PCs, HPC, and data centers.
TSMC leads in high-end Advanced Packaging with its CoWoS production and diverse portfolio, including 3D SoIC, InFO_SoW, and CoWoS variants. Intel invested heavily in Advanced Packaging in 2022, but macroeconomic factors impacted its core business in 2023. Consequently, we expect TSMC to surpass Intel's investments in advanced packaging this year. Samsung offers Advanced Packaging solutions for HBM and 3DS products, fan-out panel-level packaging, and silicon interposers, enabling high-end performance products.
Advanced Packaging entails different equipment, materials, and processes compared to traditional packaging, such as new substrate materials, lithography processes, laser drilling, CMP, and KGD tests. Significant investments are made by AP players to develop and introduce these advancements. Heterogeneous integration with Advanced Packaging drives semiconductor innovation, improving overall system performance while reducing costs.
The Semiconductor Supply Chain: The New Geopolitical Battleground
The semiconductor value chain, including Advanced Packaging, has gained attention due to a chip shortage and geopolitical tensions. Governments are investing in understanding and strengthening domestic ecosystems. The conflict between the US and China disrupts supply chains, affecting semiconductor companies' access to chips and equipment. Advanced Packaging (AP) is seen as key for the post-Moore's law era, with the AP market projected to reach $78B by 2028. However, trade tensions have led to new value chains and production relocations, diversifying the supply chain but risking the replacement of China's production capacity. Seven players dominate AP, with OSATs accounting for 65.1% of AP wafers. OSATs expand testing expertise, while traditional test players invest in packaging. The industry sees a paradigm shift as players from different models enter packaging, cannibalizing OSATs. Substrate supply has been tight, impacting material availability and leading to extended delivery lead times and price increases. Decreased demand and capacity expansions may help ease the shortage. Substrate suppliers invest in capacity expansion but face time constraints, resulting in ongoing supply issues for the next 2 to 3 years.
Status of the Advanced Packaging Industry 2022
- Glossaries
- About The Authors
- Report’s Objectives
- Scope Of This Report
- Methodology
- What We Got Right, What We Got Wrong
Three-Page Summary
Executive Summary
Semiconductor Industry Context
Advanced Packaging Market forecasts
- Advanced Packaging Platforms Summary
Advanced Packaging Technology Trends
- Technology Trends: Focus on TSMC
- Technology Trends: Focus on Samsung
- Technology Trends: Focus on Intel
- Technology Trends: Focus on ASE
- Chiplet and Heterogenous Integration Trends
- Hybrid Bonding
Noteworthy News on Advanced Packaging
Players and Supply Chain
- China’s supply chain
- Advanced Packaging Equipment & Material Suppliers
- Substrate Shortage Issues
Financial Analysis
Mergers & Acquisitions
Conclusions
Yole Group - Corporate Presentation