Search

Advanced packaging chessboard: Apple, TSMC, Amkor play the game

Apple and TSMC are the driving force behind Amkor’s US packaging facility.

Amkor’s plan to build a $2 billion advanced packaging and test facility in Peoria, Arizona, has been almost three years in the making. Like many other semiconductor companies seeking to establish new fabs in the US, the company’s long-communicated willingness for a US-based facility showed no clear signs of materialising due to a lack of economic viability. But the volumes guaranteed by Apple have changed this, a partnership critical for back-end businesses like Amkor that rely heavily on customer demand…

Amkor is just one of the companies analysed in detail by Yole Intelligence’s analysts in their Advanced Packaging Market Monitor and Status of the Advanced Packaging report.

Today, Gabriela Pereira, Analyst at Yole Intelligence, and part of the Advanced Packaging team, takes a detailed look at Amkor’s latest press announcements,  diving deeper into the relationships between Apple, TSMC and Amkor to ask: how will this new US-based OSAT facility benefit the leading players and impact the semiconductor market overall?

From market and technology reviews to reverse engineering and costing analyses, our experts hold significant core expertise in the advanced packaging market and its technology evolution. Discover Yole Group’s comprehensive collection of analyses HERE.

Apple, Amkor and TSMC all set to benefit from US-based packaging and testing

As the largest customer of Amkor’s new fab, Apple can now ensure its high-volume packaging needs will be met in the US, which, advantageously, will happen in close proximity to TSMC’s upcoming front-end facility in Arizona. A long-term partner of TSMC, Apple is committed to manufacturing its chips in the US, and with products such as its next-generation A- and M-series, it will become TSMC’s leading customer in Arizona.

Apple has made no secret of wanting to diversify and secure its manufacturing supply chain across Asia, Europe and the US, and through both TSMC’s and Amkor’s new facilities it will be able to access both high volume chip production and advanced packaging in the US, significantly simplifying its supply chain and reducing its reliance on Asian-based facilities. This may result in Apple paying a premium price for this secured supply.

This premium Amkor receives in return  for making Apple its largest customer and reserving capacity will subsequently help ensure that Amkor’s investment remains economically viable.

In addition to Amkor and Apple, TSMC will now be able to offer more for its US-based customers. TSMC’s desire to establish packaging capacity in the US has long been rumoured, as it strives to better serve customers such as Apple, Nvidia and AMD. However, Amkor’s new facility now enables users of TSMC’s new Arizona factory to access both front and back-end services in the region, without TSMC having to invest in its own packaging fab – which now seems unlikely.

Amkor’s plan to increase its 2.5D packaging capacity puts it in a unique position to answer the demand for advanced packaging capabilities from US-based AI/HPC/cloud-computing customers. While TSMC has an existing strategy of outsourcing 2.5D technologies to OSATs like Amkor for processes such as CoW (Chip-on-Wafer) and oS (on-Substrate), Amkor’s greater capacity will help TSMC serve its high-volume customers such as Nvidia, which will become more critical as the demand for these packaging technologies grows.

A push from the US government helps to increase domestic semiconductor supply – but a lack of back-end capability is still present.

Gabriela_PEREIRA-GPE_YINT
Gabriela Pereira Technology and market analyst, Advanced Packaging at Yole Intelligence
The US government’s desire to strengthen its domestic supply chain has resulted in an increase in both foreign and US-based companies announcing plans to build or expand their manufacturing presence in the country.

“However, so far this has been more apparent on the front end, which has highlighted the region’s lack of domestic back-end capabilities,” she adds.

In terms of chip production, there has been considerable investment in Arizona in recent years. Intel, for example, is set to open two new fabs in Chandler in 2024 that will manufacture the company’s most advanced process technologies, including Intel 18A and Intel 20A. TSMC’s new fab in Phoenix, set for completion in 2025, is targeting production of N4 process technology.

With advanced nodes typically requiring advanced packaging integration, Amkor’s facility in Arizona demonstrates a step forward in addressing the US’ insufficient back-end domestic supply. 

Labour, raw materials and energy costs, along with skills shortages and the overall back-end supply chain dynamics in the US make it challenging for companies such as Amkor to establish themselves in the region. Amkor no doubt benefitted from the government’s push to boost advanced packaging capabilities in the US, even though it has not yet announced whether it has received CHIPS act funding. The company is also likely to benefit from its close proximity to the increasing number of front-end suppliers in Arizona.

Amkor is the only large OSAT investing outside of Asia, where it is making gains in end-markets.

Amkor’s new facility, in which it is investing $2 billion, will be the largest OSAT facility in the US, employing around 2,000 people.

The OSAT occupies the number two position globally, after ASE Group, and recorded $7 billion of revenues in 2022 – of which a highly significant ~75% came from advanced packaging technologies. Amkor plans to spend a total of $750M in CapEx in 2023, from which about 90% is expected to be allocated for advanced packaging and testing.

Amkor has no domestic manufacturing capacity so far but is the only large-sized OSAT investing outside of Asia. In recent years, the US-based OSAT has been keenly investing in expanding its advanced packaging capacity and capabilities across Asian and European continents.

Gabriela Pereira
Amkor’s strategy of being geographically diverse allows it to build partnerships and grow in specific end markets. For example, its investments in Portugal – including enlarging its packaging offering for MEMS, wafer-level fan-out, flip chip, and power solutions – have allowed it to support customers in the automotive market, achieving the position of being the only high-volume advanced packaging Tier 1 OSAT in Europe.

Also in Portugal a partnership with GlobalFoundries, whereby GlobalFoundries transferred its 300mm Bump and Sort lines from its Dresden site to Amkor’s Porto operations, has helped enable a comprehensive EU/US supply chain.

Amkor’s new facility is set to create much-needed packaging capability in the US, and in the process boost both TSMC and Apple.  How will this beneficial partnership affect the semiconductor supply chain going forwards? Watch this space to hear first!


About the author

Gabriela Pereira is a technology and market analyst working in the advanced packaging team within the Semiconductor, Memory and Computing Division at Yole Intelligence, part of Yole Group. Gabriela focuses on advanced packaging platforms, develops technology & market reports, and is engaged in dedicated custom projects.

Gabriela holds a master’s degree in Metallurgical and Materials Engineering from the University of Porto, Portugal.

This article has been developed in collaboration with Emilie Jolivet, Director, Semiconductor, Memory & Computing.

Related articles

Related products

  • Market & Technology reports:
  • Technology, Process & Cost reports:
  • Market Monitor
up