Search

Semiconductor equipment: market share reshuffles amid memory demand decline

Chipmakers prioritize patterning equipment orders to ensure supply of essential tools.

Suppliers of patterning equipment have overtaken deposition and etch suppliers in their share of the overall wafer fabrication equipment (WFE) market – a trend that is set to continue over the next two years.

Despite the cyclical downturn in chip demand, semiconductor manufacturers cannot afford to cancel or delay their orders of patterning equipment owing to long wafer exposure lead times and a limited number of highly specialized equipment suppliers.

Yole Group breaks down the WFE market into several specific equipment technologies, including wafer thinning, deposition, etch, ion implantation, patterning, metrology and inspection, and wafer bonding. The equipment is specialized for the technology and device application it serves and there is a specialized equipment maker for each of these technologies.

WFE market leaders bring in large revenues as they serve capital expenditure (CapEx)-intensive memory and logic device makers. Global revenues (including service and support at 18% of total) totaled around $127 billion in 2023, little changed from 2022.

Yole Group analyzes this market, on a quarterly basis, in its Wafer Fab Equipment Market Monitor. (Q1 2024 edition coming soon)

More artilces related to the semiconductor equipment industry:

More news coming from the semiconductor equipment industry: HERE.

Patterning WFE to maintain elevated share

Even as overall WFE revenues stabilized last year, the patterning segment climbed to a 30% market share in the second quarter of 2023, up from a 23% market share a year earlier, according to Taguhi Yeghoyan, Senior Technology and Market Analyst, Semiconductor Equipment at Yole Group. Patterning equipment is expected to maintain that share level into 2024 and 2025 following a slight decline.

At the same time, the market share for etch and clean equipment declined to 19% in the second quarter of 2023, from 27% a year earlier. That could slide further to 18% by the second quarter of this year, Yole Group predicts. Deposition equipment also now accounts for a smaller market share than patterning equipment, slipping from 28% to 26-27%.

This shift in market share as advanced logic and DRAM manufacturers gave the priority to purchasing patterning equipment – despite the demand downturn – resulted in a reshuffling of WFE market leadership in 2023.

Netherlands-based ASML has a monopoly in extreme ultraviolet (EUV) lithography technology, which is an enabler for Moore Law continuation in logic and memory, and owns the majority of the total addressable market (TAM) in the patterning segment. ASML increased its share of global revenue for WFE as well as service and support from 17% in 2022 to 24% in 2023, overtaking US-based Applied Materials , which saw a smaller increase in its market share from 19% to 20%.

Taguhi Yeghoyan, PhD. Senior Technology and Market Analyst, Semiconductor Equipment at Yole Group.
“Nikon is the only relevant competitor to ASML for argon fluoride (ArF) wafer exposure technology, while Nikon and Canon, and to an extent SMEE , are its only relevant competitors for i-line and krypton fluoride (KrF) technologies. These technologies were purchased massively by China, which foresaw the expansion of the US ban to Argon Fluoride immersion (ArFi) technology in the fourth quarter of 2023.”

While ASML gains the lion’s share of the industry’s attention, it is not the only important WFE supplier. Chipmakers still have to buy bonding, etch and deposition equipment for their production. Applied Materials is the only company in the sector that has a broad portfolio, and it has released a tool that improves post-patterning processes to compete on this essential processing solution.

Taguhi Yeghoyan, PhD. Senior Technology and Market Analyst, Semiconductor Equipment at Yole Group.
“Applied Materials through internal research and successful acquisitions diversified its portfolio to almost all types of non-patterning equipment and might have a presence in most fabs worldwide. Due to this presence, Applied Materials knows beforehand which type of equipment is necessary to be developed. However, as demand for patterning equipment is set to remain high in 2024, it will be difficult for Applied Materials to regain its market leadership.”

US-based Lam Research and Japan’s Tokyo Electron Limited (TEL) provide mostly deposition and dry etch equipment related to the leading-edge nodes and also supply the memory and logic device markets . Lam’s sales dropped on lower demand for memory devices – resulting in a drop in its overall market share from 15% in 2022 to 11% in 2023. This is likely to remain little changed in 2024 but could improve in 2025, Yole Group predicts. Tokyo Electron, which competes with Lam Research, similarly lost market share – declining from 12% to 9%.

However, although their sales decreased strongly in 2023, Lam and TEL continued to invest in research and development (R&D) for the future generations of memory and logic for deposition, etch and resist processing steps, among others. This will help them to remain competitive and potentially recover market share in the coming years.

Niche suppliers remain core to equipment market

Wafer bonding equipment from suppliers like TEL and Austria’s EV Group accounts for just 1% of the industry but is now indispensable for packaging and logic devices.

And as chipmakers look to achieve higher yields, specialized metrology and inspection (M&I) equipment is becoming increasingly important. With feature sizes shrinking, optical systems are no longer sufficient and the industry is transitioning to e-beam (EVM) systems, driving demand for new equipment. M&I equipment market share is expected to gradually rise YoY.

US-based KLA is the metrology and inspection leader, accounting for more than 50% of the market with a diversified portfolio of optical and e-beam systems. KLA is present in most fabs worldwide and has a unique wafer analysis understanding and data processing capabilities on all device fronts. The company’s market share slipped from 8% to 7% in 2023 but should remain around the high single digits in the coming years as it is not as reliant on memory demand as some of its competitors.

Besides the market leaders, numerous other equipment companies enable device processes:

  • Japanese firms Disco and Accretech are key suppliers for wafer thinning.
  • Epitaxy and atomic layer deposition (ALD) equipment vendors such as Dutch firm ASM International and Japan’s Kokusai Electric enable novel memory and logic device architectures.
  • UK-based Aixtron, Dutch AMS International and Chinese firm Naura enable compound semiconductors.
  • SCREEN Semiconductor Solutions is a leading supplier of wet wafer etch and clean equipment.
  • Mask patterning for leading-edge chips is enabled by IMS Nanofabrication and NuFlare.
  • Germany-based Zeiss and Japan’s Rigaku are at the forefront of X-ray metrology and inspection for stacking and compound material analysis, while US-based Onto Innovation provides specific opto-acoustic metrology equipment.

Yole Group will continue to monitor these suppliers and many others as the market evolves over the coming years. Stay tuned next week for a new snapshot!

Related articles



About the author

Taguhi Yeghoyan, PhD is Senior Technology & Market Analyst, Semiconductor Equipment at Yole Group.

Taguhi’s mission is to daily follow the semiconductor industry and its evolution. Based on her expertise in this field, especially on the semiconductor supply chain (processes, materials, equipment, and related applications), Taguhi produces technology & market products and is engaged in relevant custom projects.

Prior to Yole Group, she worked in world-class European research centers and laboratories, including imec (Belgium), LMI (Lyon, France) and LTM at CEA Leti (Grenoble, France). All along her past experiences, Taguhi has authored or co-authored two patents and more than ten papers.

She has graduated from Wroclaw University of Technology (Poland) and University of Lyon (France). Taguhi also completed her PhD in material science from the University of Lyon (France).



Related products

up